TOKYO ELECTRON LIMITED

Portfolio

Undisclosed Company

US based company developing device manufacturing process.

Undisclosed Company

ADTEX, Inc.

ADTEX is a Japan-based research and development company that develops various industrial equipment using its core technologies of "high-precision automatic control", "micro signal processing", and "power electronics".

Official site: http://www.adtex.com/

ADTEX, Inc.

Undisclosed Company

UK based company providing promising simulation software.

Undisclosed Company

NexFi Technology Inc.

NexFi is a start-up company originating from Osaka University, Japan, which develops and sells SiC-based high power switching module.

Official site: https://www.nexfi-tech.com/

NexFi Technology Inc.

Undisclosed Company

US based company having promising metrology technology.

Undisclosed Company

Cellid

Cellid is a start-up company, located in Tokyo, Japan, develops and sells both the hardware "Display Module," a key component in the next-generation device AR glasses, and the software "Cellid SLAM" for spatial recognition. 

Official site: https://www.cellid.com/en/

Cellid

Undisclosed Company

Canada based company having promising robotics technology.

Undisclosed Company

Wooptix

Wooptix S.L ; located in Tenerife / Spain ; is a developer of light field and wavefront phase imaging technique designed to acquire all information about the light using a single lens utilizing the full sensor resolution. The company’s technique enables systems to achieve their vision with more data points at high frame rate and volumetric images and video, unleashing new levels of quality x, y, z, and advanced post processing.

Official site: https://wooptix.com/

Wooptix

Qromis

Qromis, Inc., located in Silicon Valley, California, is a privately held fabless technology innovator, focusing on a groundbreaking, fab-friendly substrate solution enabling unmatched cost, performance and application scale for energy-efficient Wide Bandgap Semiconductor.

Official site: http://www.qromis.com/

Qromis

Enthought

Enthought powers digital transformation for science. Enthought’s technology and deep scientific expertise enable faster discovery and continuous innovation. Enthought builds digitally enabled workforce and arms them with analytics-ready scientific data to be catalysts of value creation in science and business.

Official site: https://www.enthought.com/

Enthought

NuMat Technologies

Numat is a global leader in metal-organic frameworks (MOFs). Numat’s solutions change the way industries around the world capture and separate hazardous chemicals, reducing the negative impact of chemical products and processes on human health and the environment. At the forefront of MOF research, development and production for over a decade, Numat is the first company to successfully commercialize MOFs. Numat integrates MOFs into existing products and processes, merging chemistry innovation with manufacturing at industrial scale.

Official site: https://www.numat.com/

NuMat Technologies

PsiQuantum

PsiQuantum is building the world’s first large-scale, commercially useful quantum computer ready to tackle some of the greatest challenges across climate, healthcare, energy and beyond.

Official site: https://psiquantum.com/

PsiQuantum

Naidun

Naidun focuses on unique passivated solutions to significantly reduce the defect density in thin film material. The defects caused the higher off state current, lower on state current, and worse reliability of semiconductor devices. Naidun has implemented on uLED, GaN, and SiC devices with low thermal budget technology which could be introduced in both front and back end of wafer process.

Naidun

Applied Nanolayers

Applied Nanolayers (ANL, located in Delft, the Netherlands) provides an end-to-end foundry solution, integrating 2D materials with the existing traditional silicon device production. ANL's graphene (and other 2D materials) permit seamless integration of new functionalities with existing high-volume silicon production - enabling very high volume-scalability and cost-effective manufacturing.

Official site: https://www.appliednanolayers.com/

Applied Nanolayers

FMC

FMC has developed the most advanced ferroelectric hafnium oxide memory technology to deliver leading-edge non-volatile memory for future electronics and computing innovation. Its ferroelectric field-effect transistor (FeFET) and capacitor (FeCAP) technology is simple to integrate, fast, low-power, and scalable, and has high endurance with decades of data retention, suitable for a broad range of AI, IoT, edge, data center, and embedded applications.

Official site: https://ferroelectric-memory.com/

FMC

Undisclosed Company

US based unique device design company.

Undisclosed Company

Hprobe

Hprobe is able to offer a unique patented technology of multidimensional magnetic field generator for magnetic devices and sensors wafer level characterization and testing.

Official site: https://www.hprobe.com/

Hprobe

Undisclosed Company

US based company providing promising optical hardware.

Undisclosed Company

Adaptive Surface Technologies

Adaptive Surface Technologies produces materials and coatings that repel fluids, contaminants, and biological fouling.

Official site: https://www.adaptivesurface.tech/

Adaptive Surface Technologies

aledia

Aledia develops and manufactures an LED (light-emitting diode) display technology based on a unique 3D architecture using gallium-nitride (GaN)-on-silicon nanowires (WireLED™).

Official site: https://www.aledia.com/

aledia

Undisclosed Company

US based company providing metrology software.

Undisclosed Company

Nanosys

The Quantum Dot company. Nanosys is leading the development of Quantum Dot technology for displays.

Official site: https://nanosys.com/

Nanosys

Preferred Networks

Preferred Networks aims business utilization of deep learning technology focused on IoT, they advocate Edge Heavy Computing as a way to handle the enormous amount of data generated by devices in a distributed and collaborative manner at the edge of the network, driving innovation in various fields, with a focus on three business areas: transportation, manufacturing, and bio/healthcare.

Official site: https://www.preferred.jp/en/

Preferred Networks

Pivotal Systems

Pivotal Systems provides the best-in-class gas flow monitoring and control technology platform for the global semiconductor industry.

Official site: https://www.pivotalsys.com

Pivotal Systems

Undisclosed Company

US based company providing unique hardware for equipment.

Undisclosed Company

Floadia

Low Cost Easily Embedded Non-Volatile Memory Intellectual Property. Floadia has developed the portfolio of IP, to reduce significant power consumption, chip size, number of mask layers and test time to save, and to increase reliability.

Official site: https://floadia.com/about/

Floadia

Light Polymers

Light Polymers is an advanced polymers and materials company with breakthrough chemistry based on lyotropic liquid crystals that can dramatically improve light efficiency in flat panel displays, LED lighting, smart glass, solar, anti-counterfeiting, facial recognition and emerging flexible and transparent displays.

Official site: https://www.lightpolymers.com/

Light Polymers

Nantero

Developer of NRAM, the next generation universal memory using carbon nanotube (CNT). Nantero is the first company to actively develop semiconductor products using this CNT technology in a production CMOS fab.

Official site: http://nantero.com/

Nantero

Tsukuba Seiko

Tsukuba Seiko is the leader of electrostatic chuck, demonstrating the strongest clamping force under lower voltage on various substrates including silicon and glasses. Tsukuba Seiko is exploring the core technology to versatile applications in industries and equipment, as a thin hand, cordless holder, wafer supporter, wafer hand, electrostatic belt and soft palm.

Official site: https://tsukubaseiko.co.jp/english/

Tsukuba Seiko

SBA Materials

SBA Materials is the leader in development of nano-porous/meso-porous materials. SBA's patented Liquid Phase Self Assembly technology enables the design of advanced siloxane-based materials with a broad range of porosities and a unique combination of electrical, optical and mechanical properties.

SBA Materials

Opt Creation

Japan based venture with unique IP and know-how in microbubble technology. Innovative application of the microbubble technology in life sciences, as well as other industries.

Official site: https://www.optc.co.jp/

Opt Creation

Liola

Liola provides a technology, product and business model that enables complex manufacturing to optimize their production and achieve dramatically increased output. Liola's Exponential product is based on a breakthrough in optimization algorithms that combine a new mathematical approach with deep domain knowledge.

Liola

Genalyte

Genalyte is a next generation clinical and life science company specializing in instrumentation and multiplex assays for biomarker and protein detection.

Official site: https://www.genalyte.com/

Genalyte

PML

Particle Monitoring Technologies Ltd. has developed and patented a breakthrough in-process nano particle analyzer. This analyzer is capable of measuring particle sizes down to the nanometer range, and their concentration.

PML

ANMS

ANMS is the North American holding company of electro optical start-up company PML - Particle Monitoring Technologies Ltd.

ANMS

Undisclosed Company

US based company with disruptive visual and interface technology.

Undisclosed Company

MIOX

MIOX designs and manufactures systems that provide on-demand disinfection chemistry, eliminating the need to transport hazardous chemicals and reducing carbon footprint. MIOX systems are used in multiple applications through a wide range of products, cost-effectively producing disinfection chemistry from 1.0 to 3,000 pounds per day FAC (free available chlorine). With this flexibility, the applications range from large industrial cooling towers, water and wastewater treatment, and frac fluid disinfection to clean-in-place and dairy farm applications.

MIOX

EnerVault

Developer of MWh-scale Redox Flow Battery (RFB) Systems for distributed energy storage applications, from commercial & industrial to Utility & IPP.

Official site: http://www.enervault.com/

EnerVault

Luxtera

Luxtera, Inc. is the world leader in Silicon CMOS Photonics. It is the first company to overcome the complex technical obstacles involved with integrating high performance optics directly with silicon electronics on a mainstream CMOS chip, bringing direct “fiber to the chip” connectivity to market.”

Official site: https://www.cisco.com/c/en/us/solutions/service-provider/index.html

Luxtera

Crystal Solar

Crystal Solar is a pioneer in the development of high efficiency solar cells and modules using a transformative approach to photovoltaic production. Crystal Solar's breakthrough manufacturing technology called Direct Gas to Wafer™ allows for direct conversion of feedstock gas to mono-crystalline silicon wafers, thereby radically reducing the cost structure of PV solar panels. The company has proven its technology in R&D, and is currently setting up a semi-automated pilot line to demonstrate the manufacturing-worthiness and scalability of this technology, at radically lowered costs compared to competing approaches.

Official site: http://www.xtalsolar.com/

Crystal Solar

Undisclosed Company

Venture company in United States, has developed a breakthrough semiconductor process.

Undisclosed Company

Vista Therapeutics

Pioneer in the application of nanowires for the creation of inexpensive, accurate and continuous biomedical monitoring devices. The technology can be deployed in the lab, in the field, at the point-of-care, or in the home. In addition to developing its own intellectual property, Vista Therapeutics has obtained exclusive licenses for its technology from Harvard University and Nanosys, Inc.

Vista Therapeutics

quantum14

Developer of anodization technique for controlling pore sizes in silicon ranging from nanometer to macroscopic scales. "Porous Silicon" as it is known is a platform technology with applications ranging from advanced displays and semiconductor to biochips and DNA sequencing. The company's technology was originally developed at the Tokyo University of Agriculture and Technology in the laboratory of its founder Nobuyoshi Koshida.

Official site: http://www.quantum14.com/english/index.html

quantum14

Molecular Imprints

Molecular Imprints Inc. (MII), the market and technology leader for nanopatterning systems and solutions uses its innovative Jet and FlashTM Imprint Lithography (J-FILTM) technology to provide high-resolution, low cost-of-ownership nanopatterning capability for flat panel display, hard disk drive industries, light emitting diodes, life science and other high tech industries. Acquired

Molecular Imprints

Molecular Imprints

Provider of nanoimprint lithography, a novel technology capable of patterning nanoscale and 3-dimensional devices and structures. Acquired

Molecular Imprints

NanoGram

Using flexible and scalable high-throughput laser processes and equipment, NanoGram produces a wide range of customized nanomaterials, coatings and films for energy, electronics and optics applications.

NanoGram

Unidym

Leader in the synthesis and application of carbon nanotubes for use in advanced electronics. Through exclusive licenses from many of the world's leading universities involved in carbon nanotube research as well as through its merger with Carbon Nanotechnologies, Inc., Unidym has created the world's most expansive intellectual property portfolio related to use of carbon nanotubes in areas such as next generation displays, solar cells, touch panels and printed electronics. Acquired

Unidym

INVARIUM

Developer of advanced lithography modeling and pattern synthesis technologies known as optical proximity correction.

Official site: https://www.cadence.com/en_US/home.html

INVARIUM