TOKYO ELECTRON LIMITED

IR

FY2017 Earnings Forecast Conference Q&A

I feel that the WFE (wafer fab equipment) market has been gradually improving, but how do you feel about that?

That has not appeared yet, but I feel there is an improvement trend. The investment plans of South Korean customers have been restrained more than usual, but we hope the market will grow going forward.

Considering the extent of revenue growth, profit growth seems smaller than my expectation. Any thoughts on this?

At the end of last fiscal year, high-margin orders were brought forward and low-margin orders were pushed back, and in the current first half, the impact of the product mix will emerge but then disappear in the second half. Consequently, we expect the full-year operating margin to remain at the same level as last fiscal year. R&D expenses and retirement benefit liabilities are also having an impact.

You say that the gross margin will be flat year on year, but what kind of change will there be in the product mix for SPE sales?

We expect the composition of ALD*1 systems, etching systems and cleaning systems to rise, but we have also factored in penetration costs for strategic products and expenses for performance improvement.

In regard to costs for the penetration of strategic products, which will be higher in the first half, those for 3D-NAND or those for cutting-edge logic?

They will both be incurred, and besides these there will also be DRAM-related costs. We are forecasting a decrease in DRAM-related investment in 2016, but we are projecting an increase in DRAM-related etching system sales (for specific customers). This is because penetration has been achieved.

Despite the fact that SPE orders in the January to March quarter exceeded 180 billion yen, sales are projected to be around 300 billion yen in the first half, which seems rather low.

Orders in the January to March quarter included some that had been brought forward, and we expect orders to decrease in the April to June quarter. Many of the orders brought forward in the January to March quarter were for pre facility kits that accelerate the start-up of systems, and they are expected to contribute to sales in the second half.

Will you focus R&D expenses on SPE? If you reduce R&D expenses for FPD, won't it be difficult to improve FPD profitability?

We intend to focus on both SPE and FPD. The areas we are focusing on for FPDs are large panels at the level of G10.5 and beyond, higher definition based on LTPS*2 and IGZO*3, and inkjet printing systems for OLEDs, and we are concentrating on developing critical technology and aiming for growth.

Isn't the view that the FPD production equipment market will grow 20% year on year rather conservative?

Our view is limited to FPD production equipment for the TFT array process, and the deposition and encapsulation processes unique to OLED are excluded. TEL's FPD production equipment business turned profitable in FY2016, and we aim to continue to maintain and increase market share and raise profitability by addressing critical technology.

What is your sales forecast in the field solutions business?

About 190 billion yen, which is the same level as in FY2016.

What are your forecasts for SG&A expenses and free cash flow?

We expect SG&A expenses of 156 billion yen and free cash flow of roughly 50 billion yen.

Could you explain the increase in retirement benefit liabilities in more detail?

As the discount rate has declined from 1.15% to 0.59% (due to the impact of negative interest rates), we estimate that the burden will increase by 3 billion yen year on year in FY2017.

TEL has announced an organizational change. Why have you integrated coater/developers with cleaning systems?

We have reorganized our business units in terms of dry technology and wet technology, and integrated coater/developer and cleaning systems as wet technology. We aim to share the strengths of coater/developer, which have high market share, with cleaning systems within the same factory in Kyushu.

I believe that TEL has strengths that utilize both dry and wet technologies in the material removal field. In the future, therefore, are you considering combining cleaning and etching?

That could be an option, and we intend to consider this seriously in our long term growth strategy. By unifying our development organization and reducing our customer front lines from five to three with the latest organizational changes, we have adopted an organizational structure that will be able to work effectively based on three headquarters divisions, that is, the Development and Production Division, the Business Division and the Global Field Division.

ALD (Atomic Layer Deposition): An atomic level film deposition technique

LTPS: Low Temperature Poly-Silicon

IGZO: Oxide semiconductor that consists of indium, gallium and zinc

The above content is a summary of question and answers session.